# Quartus II Version 5.1 Internal Build 160 09/19/2005 TO Full Version, # File: D:\de2_pins\de2_pins.csv, # Generated on: Wed Sep 28 09:40:34 2005, # Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software., To,Location SW[0],PIN_N25 SW[1],PIN_N26 SW[2],PIN_P25 SW[3],PIN_AE14 SW[4],PIN_AF14 SW[5],PIN_AD13 SW[6],PIN_AC13 SW[7],PIN_C13 SW[8],PIN_B13 SW[9],PIN_A13 SW[10],PIN_N1 SW[11],PIN_P1 SW[12],PIN_P2 SW[13],PIN_T7 SW[14],PIN_U3 SW[15],PIN_U4 SW[16],PIN_V1 SW[17],PIN_V2 DRAM_ADDR[0],PIN_T6 DRAM_ADDR[1],PIN_V4 DRAM_ADDR[2],PIN_V3 DRAM_ADDR[3],PIN_W2 DRAM_ADDR[4],PIN_W1 DRAM_ADDR[5],PIN_U6 DRAM_ADDR[6],PIN_U7 DRAM_ADDR[7],PIN_U5 DRAM_ADDR[8],PIN_W4 DRAM_ADDR[9],PIN_W3 DRAM_ADDR[10],PIN_Y1 DRAM_ADDR[11],PIN_V5 DRAM_BA_0,PIN_AE2 DRAM_BA_1,PIN_AE3 DRAM_CAS_N,PIN_AB3 DRAM_CKE,PIN_AA6 DRAM_CLK,PIN_AA7 DRAM_CS_N,PIN_AC3 DRAM_DQ[0],PIN_V6 DRAM_DQ[1],PIN_AA2 DRAM_DQ[2],PIN_AA1 DRAM_DQ[3],PIN_Y3 DRAM_DQ[4],PIN_Y4 DRAM_DQ[5],PIN_R8 DRAM_DQ[6],PIN_T8 DRAM_DQ[7],PIN_V7 DRAM_DQ[8],PIN_W6 DRAM_DQ[9],PIN_AB2 DRAM_DQ[10],PIN_AB1 DRAM_DQ[11],PIN_AA4 DRAM_DQ[12],PIN_AA3 DRAM_DQ[13],PIN_AC2 DRAM_DQ[14],PIN_AC1 DRAM_DQ[15],PIN_AA5 DRAM_LDQM,PIN_AD2 DRAM_UDQM,PIN_Y5 DRAM_RAS_N,PIN_AB4 DRAM_WE_N,PIN_AD3 FL_ADDR[0],PIN_AC18 FL_ADDR[1],PIN_AB18 FL_ADDR[2],PIN_AE19 FL_ADDR[3],PIN_AF19 FL_ADDR[4],PIN_AE18 FL_ADDR[5],PIN_AF18 FL_ADDR[6],PIN_Y16 FL_ADDR[7],PIN_AA16 FL_ADDR[8],PIN_AD17 FL_ADDR[9],PIN_AC17 FL_ADDR[10],PIN_AE17 FL_ADDR[11],PIN_AF17 FL_ADDR[12],PIN_W16 FL_ADDR[13],PIN_W15 FL_ADDR[14],PIN_AC16 FL_ADDR[15],PIN_AD16 FL_ADDR[16],PIN_AE16 FL_ADDR[17],PIN_AC15 FL_ADDR[18],PIN_AB15 FL_ADDR[19],PIN_AA15 FL_ADDR[20],PIN_Y15 FL_ADDR[21],PIN_Y14 FL_CE_N,PIN_V17 FL_OE_N,PIN_W17 FL_DQ[0],PIN_AD19 FL_DQ[1],PIN_AC19 FL_DQ[2],PIN_AF20 FL_DQ[3],PIN_AE20 FL_DQ[4],PIN_AB20 FL_DQ[5],PIN_AC20 FL_DQ[6],PIN_AF21 FL_DQ[7],PIN_AE21 FL_RST_N,PIN_AA18 FL_WE_N,PIN_AA17 HEX0[0],PIN_AF10 HEX0[1],PIN_AB12 HEX0[2],PIN_AC12 HEX0[3],PIN_AD11 HEX0[4],PIN_AE11 HEX0[5],PIN_V14 HEX0[6],PIN_V13 HEX1[0],PIN_V20 HEX1[1],PIN_V21 HEX1[2],PIN_W21 HEX1[3],PIN_Y22 HEX1[4],PIN_AA24 HEX1[5],PIN_AA23 HEX1[6],PIN_AB24 HEX2[0],PIN_AB23 HEX2[1],PIN_V22 HEX2[2],PIN_AC25 HEX2[3],PIN_AC26 HEX2[4],PIN_AB26 HEX2[5],PIN_AB25 HEX2[6],PIN_Y24 HEX3[0],PIN_Y23 HEX3[1],PIN_AA25 HEX3[2],PIN_AA26 HEX3[3],PIN_Y26 HEX3[4],PIN_Y25 HEX3[5],PIN_U22 HEX3[6],PIN_W24 HEX4[0],PIN_U9 HEX4[1],PIN_U1 HEX4[2],PIN_U2 HEX4[3],PIN_T4 HEX4[4],PIN_R7 HEX4[5],PIN_R6 HEX4[6],PIN_T3 HEX5[0],PIN_T2 HEX5[1],PIN_P6 HEX5[2],PIN_P7 HEX5[3],PIN_T9 HEX5[4],PIN_R5 HEX5[5],PIN_R4 HEX5[6],PIN_R3 HEX6[0],PIN_R2 HEX6[1],PIN_P4 HEX6[2],PIN_P3 HEX6[3],PIN_M2 HEX6[4],PIN_M3 HEX6[5],PIN_M5 HEX6[6],PIN_M4 HEX7[0],PIN_L3 HEX7[1],PIN_L2 HEX7[2],PIN_L9 HEX7[3],PIN_L6 HEX7[4],PIN_L7 HEX7[5],PIN_P9 HEX7[6],PIN_N9 KEY[0],PIN_G26 KEY[1],PIN_N23 KEY[2],PIN_P23 KEY[3],PIN_W26 LEDR[0],PIN_AE23 LEDR[1],PIN_AF23 LEDR[2],PIN_AB21 LEDR[3],PIN_AC22 LEDR[4],PIN_AD22 LEDR[5],PIN_AD23 LEDR[6],PIN_AD21 LEDR[7],PIN_AC21 LEDR[8],PIN_AA14 LEDR[9],PIN_Y13 LEDR[10],PIN_AA13 LEDR[11],PIN_AC14 LEDR[12],PIN_AD15 LEDR[13],PIN_AE15 LEDR[14],PIN_AF13 LEDR[15],PIN_AE13 LEDR[16],PIN_AE12 LEDR[17],PIN_AD12 LEDG[0],PIN_AE22 LEDG[1],PIN_AF22 LEDG[2],PIN_W19 LEDG[3],PIN_V18 LEDG[4],PIN_U18 LEDG[5],PIN_U17 LEDG[6],PIN_AA20 LEDG[7],PIN_Y18 LEDG[8],PIN_Y12 CLOCK_27,PIN_D13 CLOCK_50,PIN_N2 EXT_CLOCK,PIN_P26 PS2_CLK,PIN_D26 PS2_DAT,PIN_C24 UART_RXD,PIN_C25 UART_TXD,PIN_B25 LCD_RW,PIN_K4 LCD_EN,PIN_K3 LCD_RS,PIN_K1 LCD_DATA[0],PIN_J1 LCD_DATA[1],PIN_J2 LCD_DATA[2],PIN_H1 LCD_DATA[3],PIN_H2 LCD_DATA[4],PIN_J4 LCD_DATA[5],PIN_J3 LCD_DATA[6],PIN_H4 LCD_DATA[7],PIN_H3 LCD_ON,PIN_L4 LCD_BLON,PIN_K2 SRAM_ADDR[0],PIN_AE4 SRAM_ADDR[1],PIN_AF4 SRAM_ADDR[2],PIN_AC5 SRAM_ADDR[3],PIN_AC6 SRAM_ADDR[4],PIN_AD4 SRAM_ADDR[5],PIN_AD5 SRAM_ADDR[6],PIN_AE5 SRAM_ADDR[7],PIN_AF5 SRAM_ADDR[8],PIN_AD6 SRAM_ADDR[9],PIN_AD7 SRAM_ADDR[10],PIN_V10 SRAM_ADDR[11],PIN_V9 SRAM_ADDR[12],PIN_AC7 SRAM_ADDR[13],PIN_W8 SRAM_ADDR[14],PIN_W10 SRAM_ADDR[15],PIN_Y10 SRAM_ADDR[16],PIN_AB8 SRAM_ADDR[17],PIN_AC8 SRAM_DQ[0],PIN_AD8 SRAM_DQ[1],PIN_AE6 SRAM_DQ[2],PIN_AF6 SRAM_DQ[3],PIN_AA9 SRAM_DQ[4],PIN_AA10 SRAM_DQ[5],PIN_AB10 SRAM_DQ[6],PIN_AA11 SRAM_DQ[7],PIN_Y11 SRAM_DQ[8],PIN_AE7 SRAM_DQ[9],PIN_AF7 SRAM_DQ[10],PIN_AE8 SRAM_DQ[11],PIN_AF8 SRAM_DQ[12],PIN_W11 SRAM_DQ[13],PIN_W12 SRAM_DQ[14],PIN_AC9 SRAM_DQ[15],PIN_AC10 SRAM_WE_N,PIN_AE10 SRAM_OE_N,PIN_AD10 SRAM_UB_N,PIN_AF9 SRAM_LB_N,PIN_AE9 SRAM_CE_N,PIN_AC11 OTG_ADDR[0],PIN_K7 OTG_ADDR[1],PIN_F2 OTG_CS_N,PIN_F1 OTG_RD_N,PIN_G2 OTG_WR_N,PIN_G1 OTG_RST_N,PIN_G5 OTG_DATA[0],PIN_F4 OTG_DATA[1],PIN_D2 OTG_DATA[2],PIN_D1 OTG_DATA[3],PIN_F7 OTG_DATA[4],PIN_J5 OTG_DATA[5],PIN_J8 OTG_DATA[6],PIN_J7 OTG_DATA[7],PIN_H6 OTG_DATA[8],PIN_E2 OTG_DATA[9],PIN_E1 OTG_DATA[10],PIN_K6 OTG_DATA[11],PIN_K5 OTG_DATA[12],PIN_G4 OTG_DATA[13],PIN_G3 OTG_DATA[14],PIN_J6 OTG_DATA[15],PIN_K8 OTG_INT0,PIN_B3 OTG_INT1,PIN_C3 OTG_DACK0_N,PIN_C2 OTG_DACK1_N,PIN_B2 OTG_DREQ0,PIN_F6 OTG_DREQ1,PIN_E5 OTG_FSPEED,PIN_F3 OTG_LSPEED,PIN_G6 TDI,PIN_B14 TCS,PIN_A14 TCK,PIN_D14 TDO,PIN_F14 TD_RESET,PIN_C4 VGA_R[0],PIN_C8 VGA_R[1],PIN_F10 VGA_R[2],PIN_G10 VGA_R[3],PIN_D9 VGA_R[4],PIN_C9 VGA_R[5],PIN_A8 VGA_R[6],PIN_H11 VGA_R[7],PIN_H12 VGA_R[8],PIN_F11 VGA_R[9],PIN_E10 VGA_G[0],PIN_B9 VGA_G[1],PIN_A9 VGA_G[2],PIN_C10 VGA_G[3],PIN_D10 VGA_G[4],PIN_B10 VGA_G[5],PIN_A10 VGA_G[6],PIN_G11 VGA_G[7],PIN_D11 VGA_G[8],PIN_E12 VGA_G[9],PIN_D12 VGA_B[0],PIN_J13 VGA_B[1],PIN_J14 VGA_B[2],PIN_F12 VGA_B[3],PIN_G12 VGA_B[4],PIN_J10 VGA_B[5],PIN_J11 VGA_B[6],PIN_C11 VGA_B[7],PIN_B11 VGA_B[8],PIN_C12 VGA_B[9],PIN_B12 VGA_CLK,PIN_B8 VGA_BLANK,PIN_D6 VGA_HS,PIN_A7 VGA_VS,PIN_D8 VGA_SYNC,PIN_B7 I2C_SCLK,PIN_A6 I2C_SDAT,PIN_B6 TD_DATA[0],PIN_J9 TD_DATA[1],PIN_E8 TD_DATA[2],PIN_H8 TD_DATA[3],PIN_H10 TD_DATA[4],PIN_G9 TD_DATA[5],PIN_F9 TD_DATA[6],PIN_D7 TD_DATA[7],PIN_C7 TD_HS,PIN_D5 TD_VS,PIN_K9 AUD_ADCLRCK,PIN_C5 AUD_ADCDAT,PIN_B5 AUD_DACLRCK,PIN_C6 AUD_DACDAT,PIN_A4 AUD_XCK,PIN_A5 AUD_BCLK,PIN_B4 ENET_DATA[0],PIN_D17 ENET_DATA[1],PIN_C17 ENET_DATA[2],PIN_B18 ENET_DATA[3],PIN_A18 ENET_DATA[4],PIN_B17 ENET_DATA[5],PIN_A17 ENET_DATA[6],PIN_B16 ENET_DATA[7],PIN_B15 ENET_DATA[8],PIN_B20 ENET_DATA[9],PIN_A20 ENET_DATA[10],PIN_C19 ENET_DATA[11],PIN_D19 ENET_DATA[12],PIN_B19 ENET_DATA[13],PIN_A19 ENET_DATA[14],PIN_E18 ENET_DATA[15],PIN_D18 ENET_CLK,PIN_B24 ENET_CMD,PIN_A21 ENET_CS_N,PIN_A23 ENET_INT,PIN_B21 ENET_RD_N,PIN_A22 ENET_WR_N,PIN_B22 ENET_RST_N,PIN_B23 IRDA_TXD,PIN_AE24 IRDA_RXD,PIN_AE25 SD_DAT,PIN_AD24 SD_DAT3,PIN_AC23 SD_CMD,PIN_Y21 SD_CLK,PIN_AD25 GPIO_0[0],PIN_D25 GPIO_0[1],PIN_J22 GPIO_0[2],PIN_E26 GPIO_0[3],PIN_E25 GPIO_0[4],PIN_F24 GPIO_0[5],PIN_F23 GPIO_0[6],PIN_J21 GPIO_0[7],PIN_J20 GPIO_0[8],PIN_F25 GPIO_0[9],PIN_F26 GPIO_0[10],PIN_N18 GPIO_0[11],PIN_P18 GPIO_0[12],PIN_G23 GPIO_0[13],PIN_G24 GPIO_0[14],PIN_K22 GPIO_0[15],PIN_G25 GPIO_0[16],PIN_H23 GPIO_0[17],PIN_H24 GPIO_0[18],PIN_J23 GPIO_0[19],PIN_J24 GPIO_0[20],PIN_H25 GPIO_0[21],PIN_H26 GPIO_0[22],PIN_H19 GPIO_0[23],PIN_K18 GPIO_0[24],PIN_K19 GPIO_0[25],PIN_K21 GPIO_0[26],PIN_K23 GPIO_0[27],PIN_K24 GPIO_0[28],PIN_L21 GPIO_0[29],PIN_L20 GPIO_0[30],PIN_J25 GPIO_0[31],PIN_J26 GPIO_0[32],PIN_L23 GPIO_0[33],PIN_L24 GPIO_0[34],PIN_L25 GPIO_0[35],PIN_L19 GPIO_1[0],PIN_K25 GPIO_1[1],PIN_K26 GPIO_1[2],PIN_M22 GPIO_1[3],PIN_M23 GPIO_1[4],PIN_M19 GPIO_1[5],PIN_M20 GPIO_1[6],PIN_N20 GPIO_1[7],PIN_M21 GPIO_1[8],PIN_M24 GPIO_1[9],PIN_M25 GPIO_1[10],PIN_N24 GPIO_1[11],PIN_P24 GPIO_1[12],PIN_R25 GPIO_1[13],PIN_R24 GPIO_1[14],PIN_R20 GPIO_1[15],PIN_T22 GPIO_1[16],PIN_T23 GPIO_1[17],PIN_T24 GPIO_1[18],PIN_T25 GPIO_1[19],PIN_T18 GPIO_1[20],PIN_T21 GPIO_1[21],PIN_T20 GPIO_1[22],PIN_U26 GPIO_1[23],PIN_U25 GPIO_1[24],PIN_U23 GPIO_1[25],PIN_U24 GPIO_1[26],PIN_R19 GPIO_1[27],PIN_T19 GPIO_1[28],PIN_U20 GPIO_1[29],PIN_U21 GPIO_1[30],PIN_V26 GPIO_1[31],PIN_V25 GPIO_1[32],PIN_V24 GPIO_1[33],PIN_V23 GPIO_1[34],PIN_W25 GPIO_1[35],PIN_W23